首页 百科知识 系统总体方案设计

系统总体方案设计

时间:2022-04-10 百科知识 版权反馈
【摘要】:F题 红外光通信装置一、任务设计并制作一个红外光通信装置。温度测量误差不超过2℃。整个系统工作性能良好,能较好地完成各项指标。方案3:ASK调制,载波幅度是随着调制信号的变化而变化的。考虑到单片机更方便处理温度数据,因此选择方案2。由红外发射电路将混合信号发送,到达中继站后,经2.5倍微功耗单电源放大电路进行转发。

F题 红外光通信装置

一、任务

设计并制作一个红外光通信装置。

二、要求

1. 基本要求

(1)红外光通信装置利用红外发光管和红外光接收模块作为收发器件,用来定向传输语音信号,传输距离为2 m,如图F-1所示。

图F-1 红外光通信装置方框图

(2)传输的语音信号可采用话筒或Φ3.5 mm的音频插孔线路输入,也可由低频信号源输入;频率范围为300~3 400 Hz。

(3)接收的声音应无明显失真。当发射端输入语音信号改为800 Hz单音信号时,在8 Ω电阻负载上,接收装置的输出电压有效值不小于0.4 V。

不改变电路状态,减小发射端输入信号的幅度至0 V,采用低频毫伏表(低频毫伏表为有效值显示,频率响应范围低端不大于10 Hz、高端不小于1 MHz)测量此时接收装置输出端噪声电压,读数不大于0.1 V。

如果接收装置设有静噪功能,必须关闭该功能进行上述测试。

(4)当接收装置不能接收发射端发射的信号时,要用发光管指示。

2. 发挥部分

(1)增加一路数字信道,实时传输发射端环境温度,并能在接收端显示。数字信号传输时延不超过10 s。温度测量误差不超过2℃。语音信号和数字信号能同时传输。

(2)设计并制作一个红外光通信中继转发节点,以改变通信方向90°,延长通信距离2 m,如图F-2所示。语音通信质量要求同基本要求(3)。

中继转发节点采用5 V直流单电源供电,电路见图F-3。串接的毫安表用来测量其供电直流电流

(3)在满足发挥部分(2)要求的条件下,尽量减小中继转发节点供电电流。

(4)其他。

图F-2 红外光通信中继转发装置方框图 图F-3 中继转发节点供电电路

三、说明

(1)本装置的通信信道必须采用红外光信道,不得使用其他通信装置。发射端及转发节点必须采用分立的红外发光管作为发射器件,安装时需外露发光管,以便检查。不得采用内部含有现成通信协议的红外光发射芯片或模块。

(2)中继转发节点除外接的单5 V供电电源外,不得使用其他供电装置(如电池、超级电容等)。

(3)测试时,自备MP3或录音机及音频连接线。

四、评分标准

报 告 1

基本信息

一、设计方案主要内容

1.预期实现目标定位

本设计采用多对红外收发对管,实现了模拟语音信号和温度数字信号的传输。由单片机MSP430通过温度采集芯片读取温度的数字信号,然后通过类似ASK的调制方式从发射端发出,与语音信号同时传输,接收端通过滤波和比较处理将数字信号提取并还原显示。语音信号通过滤波及音频功放电路由耳机或8 Ω负载输出。红外通信中继站采用低功耗放大设计,运用微功耗单电源芯片,实现红外信号的转发。整个系统工作性能良好,能较好地完成各项指标。

2.技术方案分析比较

(1)数字调制解调方式

根据题目要求,语音信号与数字信号需要同时传输,可以将数字信号进行调制,再与语音信号相加。

方案1:PSK是根据数字基带信号的两个电平使载波相位在两个不同的数值之间切换的一种相位调制方法。但是解调只能采用相干解调,在实际应用中,实现难度较大,考虑到时间问题,没有采用此方案。

方案2:采用FM调制,可以获得较强的抗干扰能力,但是缺点是FM调制的系统复杂,并且由于调频波频带宽,带来选择性的问题,所以不适合在红外传输的低频段使用,一般使用在10 MHz以上的波段。由于时间有限,再加上红外传输信道条件的限制,故不宜采用FM调制技术。

方案3:ASK调制,载波幅度是随着调制信号的变化而变化的。其最简单的形式是,载波在二进制调制信号控制下进行幅度调制,结合本题要求,数据传输的时延比较长,可以采用高速的开关二极管进行包络检波,然后整形恢复出数字信号。

结合以上分析,本设计选用类似ASK调制方式,解调采用1N4148高速开关二极管进行检波。

(2)红外发射

题目中明确要求只能采用分立的红外发射管作为发射电路,为了使发射效果达到最好,有如下方案:

方案1:采用一对红外发射对管,发射端加上聚光杯,但是仅仅一对红外管的发送功率比较低,传输2 m比较困难,信号衰减较大。

方案2:采用多个发射管串联可以提高光强和发射电流,但是发射的光强较散,接收的红外信号不容易聚集。

方案3:多个红外管并联接收,采用单个发射管加聚光杯,这样可以使发射红外光更好地聚集,接收端接收的效率也比较高,可以增大传输距离。

综合各种方式,选择方案3进行调试。

(3)中继转发

根据题目要求,中继转发点采用5 V直流电源供电,需要尽量减小中继转发节点的供电电流。

方案1:采用三极管电路放大。三极管电路采用分立元件搭建调试,多级级联时增益与其他各项指标都不容易计算,或者与理论计算值有较大的偏差,为了调试方便并节省时间,故中继不采用三极管电路。

方案2:采用低功耗芯片放大。经过查阅,OPA2244EA是高精度的轨至轨双运放,该运放输出幅度可以非常接近电源电压。它是低功耗、小尺寸的零漂移放大器。如果选用此芯片,可以使得中继站的供电电流更小,电路原理图如图F-1-1。

图F-1-1 中继转发电路图

(4)温度采集模块

方案1:采用热敏电阻,热敏电阻对温度敏感,在不同温度情况下呈现不同的阻值,但是热敏电阻的线性度较差,和工艺有很大关系,用于实际电路时误差相对比较大。

方案2:采用温度采集芯片,芯片可以将采集到的温度直接转化为二进制数送入MCU处理,这种方式使得温度采集更加方便,便于对温度的读取,误差也相对较小。

考虑到单片机更方便处理温度数据,因此选择方案2。

3.系统结构工作原理

系统框图如图F-1-2所示,单片机通过温度传感器芯片TMP275获得12Bit数字温度信号,以1.2K波特率的速度串口发送至与门电路,与语音模拟信号相与输出。由红外发射电路将混合信号发送,到达中继站后,经2.5倍微功耗单电源放大电路进行转发。信号到达接收端后,分为两路处理。

图F-1-2 系统框图

数字信号先进行跟随放大,然后通过高通滤波器,滤除语音信号,进行比较器整形,最后由二极管1N4148包络解调,经驱动电路送至接收端单片机显示温度值。

语音信号则通过带通滤波器后直接进入音频放大器LM386,可以选择耳机或者喇叭输出音乐,也可以检测8 Ω负载两端输出。

4.测量控制分析处理

硬件模块测试:系统本身由两个大模块构成,所以首先对模拟和数字通路分开调试,对于模拟通路,在输入端加上信号源后通过调整距离观察接收信号幅度的变化,然后通过调整信号源频率在测试频点800 Hz时测量最远距离与接收幅度。数字信道测试时,主要通过调整波特率,载波频率等因素,降低数据在传输过程中的误码率,达到最佳效果。在此基础上,再增加传输距离,最远距离情况下将误差控制在2%以内。最后将数字信号与模拟信号相加后测试温度和输出信号,由于相互间的干扰和滤波的限制性,按级测量输出,对电路进行微调后使得模拟和数字信号的性能都达到理想指标。

软件模块测试:采用自下而上的调试方式,先进行模块测试程序的调试,待全部通过之后将所有的软件程序串接起来并结合硬件电路进行整体调试。

二、核心部件电路设计

1.语音信号放大模块

NE5532是一种双运放高性能低噪声运算放大器。相对于大多数标准运算放大器,如1458,它显示出更好的噪声性能,较高的输出驱动能力和相当高的信号带宽及电源范围。该器件特别适合应用在高品质和专业音响设备、仪器和控制电路及电话通道放大器,语音信号放大电路如图F-1-3所示。

图F-1-3 语音信号放大模块

图F-1-4 外红发射电路

2.红外发射电路

红外发射电路如图F-1-4,由三极管S8050单管构成驱动电路,通过改变集电极上电流的大小从而改变红外发射管的光强,达到将信号转化为光强发射的目的。

3.带通滤波模块

由于语音信号的范围为300 Hz~3.4 kHz,可设计二阶有源滤波器级联的方式实现带通,本设计中高通滤波器截止频率为100 Hz,采用切比雪夫0.1dB二阶有源滤波器,低通滤波器选用截止频率为8 kHz的二阶巴特沃斯有源滤波,原理图如图F-1-5所示。

图F-1-5 带通滤波模块

4.音频功放模块

LM386是美国国家半导体公司生产的音频功率放大器,主要应用于低电压消费类产品。为使外围元件最少,电压增益内置为20。但在1脚和8脚之间增加一只外接电阻和电容,便可将电压增益调为任意值,直至200。输入端以地为参考,同时输出端被自动偏置到电源电压的一半,在6 V电源电压下,它的静态功耗仅为24 mW,使得LM386特别适用于电池供电的场合。本设计采用典型20倍增益放大电路,负载为8 Ω扬声器或电阻。

5.跟随放大模块

数字信号输入到接收端时,可以做一级跟随电路,使得它在电路中可以起到阻抗匹配的作用,使前后级互不影响,能够使后一级的放大电路更好的工作。

6.高通滤波电路

为了把收到的ASK波上叠加的正弦低频信号滤掉,采用有源巴特沃斯二阶高通滤波电路,将正弦信号的低频分量进行衰减,使得数字信号能更好地放大,电路如图F-1-6所示。

图F-1-6 高通滤波电路

7.比较器模块

将调制信号恢复为包络比较良好的数字信号,以便进行下一步包络解调,这里我们采用了LM311比较器芯片,比较电路如图F-1-7所示。

图F-1-7 比较器模块

8.包络检波模块

根据需要检波的频率,选用高速开关二极管1N4148,选取R=100 kΩ,C=0.01 μF。RC电路有两个作用:一是作为检波器的负载,在两端产生解调输出的原调制信号电压;二是滤除检波电流中的高频分量。电路如图F-1-8所示。

图F-1-8 包络检波模块

三、竞赛工作环境条件

1.测试环境

时间:2013年9月7日星期六

温度:室内28℃

2.测试仪器

70 MHz双通道示波器RIGOLDS2072;直流稳压源OF1731SC2A;40 MHz函数信号发生器;万用表。

四、作品成效总结分析

1.基础部分数据测试

测试指标如表F-1-1所示。负载:8 Ω;单音信号频率:800 Hz;测试距离:2 m;波形条件:无明显失真。

表F-1-1 基础部分数据测试

减小输入信号至0 V,输出噪声电压为7.5 mV。

2.发挥部分数据测试

加上中继站后,继续进行测试,测试指标如表F-1-2所示。负载:8 Ω;单音信号频率:800 Hz;测试距离:2 m-转90°-2 m;波形条件:无明显失真。

表F-1-2 发挥部分数据测试

减小输入信号至0 V;输出噪声电压12 mV;基础部分与发挥部分的指标全部达到。

3.总结分析

本系统实现了题目基本部分以及发挥部分的要求,经过测试,在输入电压为20 mV时输出电压已经达到题目要求的最低有效值0.4 V,输入信号减小为0时,噪声电压仅为7.5 mV,加上中继后,指标完全达到。经测试,未加中继时,不出现误码的最远传输距离为3 m,本系统在题目要求范围内性能十分稳定,且有一定拓展,实现了红外近距离通信。

五、参考资料

冯军.电子线路:线性部分.北京:高等教育出版社,2010

Haykin, Simon.Communication systems.Wiley Publishing, 2009

柏军,胡屏,等.一种用于单片机的红外串行通信接口.单片机与嵌入式系统应用,8 (2003): 17-19

报 告 2

基本信息

一、设计方案工作原理

1.技术方案分析比较

(1)通信方式的选择

方案1:采用模拟通信方式。通过将音频信号调制到一定频率,然后通过红外光进行传输,在接收端再对调制信号进行解调,从而获得原信号。其优势在于直观方便,处理起来比较简单。但也有着很明显的缺点:对信道的依赖较大,抗干扰性差。

方案2:采用数字通信的方式。通过将音频信号进行A/D采样,将模拟信号转换为数字信号,通过FPGA进行处理,然后串口输出,驱动红外二极管发光。数字通信抗干扰性更好,但是与模拟通信比较,其占用的频宽较宽。

综上考虑,由于所传信号频率并不高,所占用的带宽没有要求,而数字通信的抗干扰性明显强于模拟通信。所以我们采用方案2,采用二进制数字基带传送。

(2)处理器的选择

方案1:利用单片机进行处理。单片机的优势在于控制方便,但处理速度受到一定限制。

方案2:利用FPGA进行处理。FPGA的优势在于传输数据速度快,稳定,可以并行处理。而本系统经过A/D采样后数据量大,并行度高,这方面FPGA有着明显的优势。我们选择方案2。

(3)功率放大的选择

方案1:采用分立元件搭建功率放大电路。

方案2:利用集成功放驱动元件。集成元件的外电路不复杂,而且可靠性高,功耗低,效率高,性能佳。有很多芯片具有很强的驱动能力,完全能满足题目所要求的指标。我们选择方案2。

2.系统结构工作原理

系统结构如图F-2-1所示。

图F-2-1 系统构成框图

系统采用两片Altera cyclone IV的FPGA为处理核心,通过A/D模块对音频信号进行采样,将转换后的数位码串口输出,利用红外光进行数字通信,接收端接收到信号后进行放大和比较,之后通过FPGA处理D/A输出,输出的信号通过功率放大模块,将音频信号放大输出。与此同时,系统通过DS18B20采集环境温度信号,通过红外通信进行传输。此外,系统还能通过VGA将传输获得的音频信号进行实时显示。传输协议为自己设计,温度信号以1 s为周期间隔发送,发送温度的一帧信息时语音信息不发送,这虽然对语音信息发送有影响,但可以忽略(误帧率增加量小于千分之一)。

3.功能指标实现方法

(1)采用二进制数字基带传送。发射的信号波特率为200 kbps。为了保证语音信号的质量,采样率为标准的8 kHz,在自定义的协议中,第1位为1,作为起始位,2~8位为一次A/D转换取的高7位语音信息或者是7位温度信息,还有一位标志这次传输的是语音还是温度信息,共9位有效,一帧其他位置零,以减小功耗。

(2)由于音频输出的幅度较小(均值几十毫伏),这给A/D采样带来困难。所以在A/D采样之前要进行前级放大处理。此处的放大芯片采用的是超低噪声、低零漂的放大芯片OP37。

(3)本系统的红外通信设备采用的是红外二极管和接收管,因此需要有前级驱动电路和后级放大比较电路。前级驱动我们采用的是三极管8050,在三极管的集电极,我们串联了四个红外二极管,以提高功率。在接收端,由于接收管开关速度的影响,收到的信号并非方波,这时就需要一个比较电路,将其恢复成方波,以免影响后级传输和D/A转换。在通信系统中的中继部分,我们主要合理控制红外二极管的个数以及尽量提高传送速率。

4.测量分析处理

实验传输测试时,调整接收端比较电路的比较电平,从而获得较为正常的接收端输出方波占空比,便于之后的FPGA判决。

二、核心部件电路设计

1.关键器件性能分析

核心部件红外发射管和红外接收管对系统有很大的影响,用红外管传输方波信号时上升时间和下降时间很长,当数据速率达到200 kb/s时,基本出现类似正弦波的波形,只能通过比较器产生较为可行的方波。

图F-2-2 发射接收电路图

2.电路工作原理

由于中继系统只有5 V供电,选择了三极管作为放大器。接收端使用级联放大,有利于检测到微小的红外信号。放大倍数足够,输出Q3为低电平或高电平,直接输入到单片机检测。图F-2-2为发射与接收电路图。

功率放大电路选用了低功耗、高驱动的OPA2674芯片。两片功放并联,输出电流最高能达到1 A。在功放电路之前,我们加了一级低通滤波器,滤除D/A中的阶梯状波,电路如图F-2-3所示。

图F-2-3 低通滤波器

三、系统软件设计分析

1.系统总体工作流程

(1)发送模块

图F-2-4所示为发送模块的FPGA中烧入的顶层图形文件。

图F-2-4 FPGA发送模块

语音信号经过处理后由A/D模块采样得到八位AD_in数据,温度传感器DS18B20模块为单口输入输出,由图中Temp1模块控制,得到12位温度值,输出为data_tmp信号,两个信号传递给Senter模块进行信道编码,满足协议规则:第1位为1,作为起始位,2~8位A/D转换取的高7位作为语音信息或者是7位温度信息,9位可标志出这次传输的是语音还是温度信息,共9位有效,一帧其他位置零。最后输出图中“bit”基带信号。

(2)接收模块

图F-2-5至图F-2-7所示为接收模块的FPGA中烧入的顶层图形文件。

图F-2-5 时钟部分

图F-2-6 VGA显示部分

图F-2-7 接收端信号处理,调试控制部分

接收到的红外光信号经过处理和比较后,还原的基带信号输入Slave_pro模块,经过判决门限,确定收到的信号是1或0,再通过协议的处理,得到一帧信息,outDATA,送入final模块进行分析处理,得到VGA显示的温度数字4位,D/A输出8位(实际只有7位有效),指示失联的led信号lost。分别送入对应模块显示,其中D/A还原的语音信息要经过noiser模块进行比较判决,当连续7个时钟周期内输入都接近零时,判定为零输入,输出置零。使得在零输入情况下,噪声尽可能地小。

2.主要模块程序设计

(1)发送端

Pll分频模块:采用Quartus IP核,主要产生三个时钟:A/D采样模块工作时钟,DS18B20温度控制模块时钟,发送端主时钟(信道编码时钟)。

A/D模块:控制外围A/D模块,读取A/D数据。

Temp1模块:控制DS18B20芯片,为单口控制,通过一个双向输入、输出口,得到12位温度信号。

Senter模块:把得到的两种信号通过自己定义的协议进行信道编码,再串口发射出去。

(2)接收端

Slavepll分频模块:采用Quartus IP核,主要产生三个时钟:主时钟,VGA控制时钟,D/A时钟。

若干Div**模块:分频模块,“**”为分频比,为自己编写的分频模块,以获得RAM控制时钟。

RAM:采用Quartus IP核,存储波形。

Slave_pro模块:接收信号,按照协议输出一帧信息,有高低电平判决功能,判决门限可调。

final模块:由Slave_pro模块输出的信息,按照协议转化成VGA显示的温度数字4位,D/A输出8位(实际只有7位有效),指示失联的led信号lost。

noiser模块:分析是否为零输入,减小零输入时的噪声。

(3)关键模块程序清单

略,见网站。

四、竞赛工作环境条件

所用的FPGA型号为Altera cyclone EP4CE15F17C8主频50 MHz;温度传感器DS18B20;前级放大器OP37;功率放大器OPA2674。软件平台为QuartusⅡ 11.0。

竞赛配备有数字示波器,函数发生器,稳压电源等常用仪器。

五、作品成效总结分析

1.系统测试性能指标

测试指标:通过测试单音与3.5 mm话筒语音,来测试性能,同时温度以及语言波形通过VGA显示,接收端的输出接入示波器,观察功率是否达标。语音传输完成,噪声很小,而且达到了信号幅度的要求。通过调整输入不同频率的单音,可以实现对系统频率范围的测试。同时观察输入、输出波形,调整比较电平,判决门限,以达到接收端最小误码率。

2.成效得失对比分析

(1)考虑到接收信号的稳定性,最终的波特率设置为200 kbps,一定程度上增加了功率,因为没有被使用的位本可以置零来减小功率,但是频率提高后的噪声太大。200 kbps情况下十分稳定,甚至发射和接收不用刻意对准都能够很好的传输。

(2)同时传输温度与语音,因为温度传输时占用了语音的码元,但是最终发现因此带来的语音噪声相对于信道噪声可以忽略,但是反过来传输的温度信号不太稳定,有时候会跳变到语音信号,在协议中判断语音或温度的规则有待改进。

3.创新特色总结展望

对于接收信号的判决,原本考虑用积分比较的方法,但限于竞赛设计时间的限制,我们还是采用了直接根据采到的某一点来判决信号的方法,而这里对于如何取得最佳点又有独特的算法,实际的效果也是很好的,噪声很小。希望有机会在系统地学习了通信理论之后,用积分比较的方法来做判决,可以实现更好的性能指标。

六、参考资料

Haykin S.Communication Systems.Fourth Edition.New York:Wiley, 2001

韩广兴.电子元器件与使用电路基础.北京:电子工业出版社,2002

童诗白,华成英,等.模拟电子技术基础.北京:高等教育出版社,2000

赵力.语音信号处理.北京:机械工业出版社,2009

报 告 3

基本信息

一、设计方案工作原理

1.技术方案分析比较

此红外通信装置有模拟和数字两种基本方式能够实现。用模拟方式实现较为简单,但是由于发射功率较小、传输距离较远、环境噪声较大、红外发射管接收管的非线性等因素导致系统的性能难以得到保证;而用数字方式实现相对复杂,需要先将模拟的语音和温度信号转为数字信号,再将数字信号转为红外光信号进行传输,然后将接收到的红外光信号转为数字信号,再转为模拟信号,涉及较复杂的控制,需要用单片机来实现。但是由于数字方式抗干扰性能好,较容易保证系统性能,因此,我们采用了数字方式来实现此红外光通信装置。

2.系统结构工作原理

系统结构如图F-3-1所示。

图F-3-1 系统构成框图

在发射端部分,语音和温度先被A/D采样器及温度传感器转化为数字信号,经过单片机处理,并将数据整理成遵循USART异步串口协议的数据格式输出,再通过模拟电路提高发射信号的功率,并驱动红外发射管传输红外光信号;在接收端采用红外接收管接收红外光信号,经过放大、重建和隔离,将数字信号传给单片机,接收端单片机使用USART异步串口接收模块得到数据,将温度数据显示在液晶屏上,同时将语音数据传递给D/A模块,D/A输出经滤波和功放,还原出原有语音信号。

3.功能指标实现方法

(1)为了保证语音信号的质量,对语音信号进行过采样,USART比特率设置为200 kbps,在一帧数据中数据位有8位,1位起始位,1位停止位,共10位,故实际为200 k/(8+1+1)/3.4 k≈6,即以语音信号中最高频率的6倍进行采样。

(2)为了保证在经过2 m距离的衰落之后依然能够有效接收信号,可以适当提高发射端的发射功率,即红外发射管的发光强度

(3)要同时实时传输语音和温度,可以采用隔一段时间插字节的方式传输温度数据,这样可以保证温度数据几乎不占用信道带宽,从而保证语音信号的质量。

二、核心部件电路设计

1.关键性能分析

尽管此设计中已经采用数字方式实现,能够较好地抵抗环境干扰,但是由于信号传输过程的严重衰落以及器件的非线性,依然给设计带来了很大的挑战。其中,对设计的可靠性影响最大的器件就是红外发射管和红外接收管。红外发射管和红外接收管的非线性也是难以采用模拟方式实现设计的最重要原因。然而,无论是信道造成的信号衰落,还是红外管的非线性,都不是我们能够改变的,因此只能采取其他措施来解决信号传输的质量和速率问题。

2.电路工作原理

对系统性能影响最大的是红外发射管和红外接收管的电路设计,图F-3-2所示为红外发射和接收电路。红外发射管采用三极管来进行驱动可以实现更大的发射功率;在接收部分电容C1的作用是隔直流,主要是环境中的光线引起的直流漂移及低频干扰,接收信号通过比较器LM311D来进行0~1电平的判决,电压U0即为判决门限。需要重点提及的是U0,理论上说,比较器的比较电压U0应该接地,但是我们还应该考虑几个问题,一是即使我们通过电容C1去除了直流分量,是否判决门限定为0 V就能达到最理想的效果?二是如果我们要根据需要调节判决门限该怎么办?三是地线是否稳定?由于环境变化、电路噪声以及不恰当的布局布线可能引起的地线环流,地线上可能有较大的噪声,而红外接收管接收到的信号是很微弱的,因此与其比较的基准一定需要是一个很稳定的直流信号。我们可以用其他方式来实现一个可调节的稳定的直流信号作为判决门限。

图F-3-2 红外发射和接收电路

三、系统软件设计分析

1.系统总体工作流程

(1)发送端

图F-3-3所示是发送端MCU工作的基本过程。两路数据,A/D采样数据和读取的温度数据,经过二选一的条件选择器,送入USART模块中,以串行数据的方式发送出去。选择的条件为:总工作周期为TCOUNT(一个足够大的数),传送TCOUNT-10个A/D数据,就进行一次温度采样,并留10个周期进行温度数据的传输。A/D采样由定时器触发,从不间断,而只有当需要温度的时候才进行温度读取。由于TCOUNT很大,其中只有10个周期用来传输温度,因此可以看出温度数据几乎不会占用信道带宽,保证了实时传输语音信号的质量。

图F-3-3 发送端MCU工作过程示意图

此外,为了保证接收端能够区分语音数据和温度数据,需要在发送温度数据之前发送9次前导码0xFF,接收端只要检测到其中一个前导码0xFF,就可以断定下一个不是0xFF的数据就是温度数据。因为语音信号在采样时做了阈值处理,所以8位采样数据的最大值达不到0xFF,因此如果出现了0xFF,就说明这是温度数据的前导码,从而可以正确检测出温度。重复发9次前导码是为了保证接收端一定可以检测到前导码和温度数据,增加可靠性。

(2)接收端

如图F-3-4所示,接收端接收到数据后根据是否有前导码来决定数据的去向,如果检测到前导码,则前导码之后的第一个非前导码字节就是当前温度。

图F-3-4 接收端MCU工作过程示意图

2.主要模块程序设计

(1)发送端

发送端用到的模块有USART、ADC、Timer、GPIO,所有这些模块只需要在初始化时配置好其工作模式即可,正常开始工作时这些模块不需要额外的控制和改变。

此外发送端需要有一个读取18B20温度数据的函数,这个函数操纵GPIO与18B20进行交互,必须遵循十分严格的时序规则,否则无法读取到温度数据。

USART:发送模式、比特率200 kbps、1位起始位、1位停止位、无奇偶校检、中断使能。

ADC:12位采样(USART传输数据时只取高8位),每次采样由Timer触发。

Timer:计数周期为10 μs,即ADC的采样频率为100 kHz,过采样,不过当然不是所有采得的数据都可以被传输。

GPIO:设置好ADC和USART工作的专用引脚,以及与18B20交互的普通数据端口。

(2)接收端

接收端用到的模块有USART、DAC、Timer、GPIO,所有这些模块只需要在初始化时配置好其工作模式即可,正常开始工作时这些模块不需要额外的控制和改变。

此外接收端需要有一个刷写液晶屏12864的函数,这个函数操纵GPIO与12864进行交互,也需要遵循一定的时序规则,否则无法正常显示温度数据。

USART:接收模式、比特率200 kbps、1位起始位、1位停止位、无奇偶校检、中断使能。

DAC:12位(低四位补零)。

GPIO:设置好DAC和USART工作的专用引脚,以及与12864交互的普通数据端口。

(3)关键模块程序清单

程序清单见网站。

四、竞赛工作环境条件

所用的单片机型号为STM32F407,32位ARM架构,Cortex-M4内核,最高主频168 MHz,1MB Flash memory,196KB SRAM,2.4MSPS ADC,1MSPS DAC,I/O翻转速率最高84MHz,USART最高速率10.5Mbps。软件平台为Keil uvision4。

五、作品成效总结分析

1.系统测试性能指标

测试指标:听接收端回放的语音信号,感受失真情况;发送端语音信号接入口接入正弦波信号,从接收端观察波形质量以及噪声的大小,改变发送端接入的正弦波频率,观察整个系统是否在要求的频带范围内均符合要求;观察接收端液晶屏显示温度是否准确。

以上所述的测试指标均为最终的指标,在调试过程中依靠这些指标往往难以发现问题所在。根据之前所述可知,红外管是影响整个系统性能的关键,因此在调试过程中主要是用双踪示波器,观察送入红外发射管的信号波形和接收端比较器的输出,进行对比就可以看出信号在传输过程中的失真情况,如果有失真,则需要调节电路元件参数。

2.创新特色总结展望

(1)根据率失真理论,在信道容量较小的情况下,需要降低数据传输速率来满足失真度要求,因此我们可以通过降低数据传输速率来有效减少传输的误码率。

(2)相邻语音数据之间的相关性很大,根据信源编码理论,这些相邻数据之间的相关性可以被当做冗余去除掉,从而可以以更低的速率传输等量的信息。实际可以实现的方法之一就是PCM-增量调制,当然我们可以使用更为复杂的信源编码,但是设计的复杂性也更高。

(3)根据实际使用红外管的经验,发现红外管有一个特性,即当信号中低频分量较多时误码率会很高。根据此特性还可以以合适的线路码对信号进行编码,即曼彻斯特码,保证信号的最低频率分量为fmax/2。

六、参考资料

Haykin S.Communication Systems.Fourth Edition.New York:Wiley, 2001

张晓红,Saadat S.红外通信IrDA标准与应用.光电子技术,2003,23(4):261-265

程程,洪龙,等.一种实用的红外通信装置设计及实现.电力自动化设备,2009,29(9):129-136

潘继敏.无线光通信中信号处理技术研究.秦皇岛:燕山大学,2004

报 告 4

基本信息

一、设计方案工作原理

1.技术方案分析比较

红外通信系统分为模拟通信系统或者数字通信系统。目前,模拟通信技术成熟,成本低,但是抗干扰能力差。而数字通信系统实现复杂,占带宽,但是抗干扰能力强,容量大。为实现本设计目标,提高语音通信质量,保证系统的稳定可靠,选用数字红外通信方式传输信号。该传输系统主要由信源、信源编码、信道编码、信道、信道解码、信源解码和信宿7个部分组成。如图F-4-1所示。

图F-4-1 红外语音传输系统框图

信源包括语音信号和数字信号。信源编码实现语音模拟信号与数字信号之间的转换。信道编码实现多路信号复接、同步并提高抗噪声的能力。本系统以红外光为信息载体,将信息传输到接收端,进行解扰码、解复接的信道编码、信源解码,最终实现红外光通信。

本设计关键在于红外收发装置的选用,语音信号的处理,信道编解码等几个重要方面,下面分别予以讨论。

(1)红外接收

方案1:采用分立元件搭建接收电路。红外接收管接收到光信号并转换成电信号,电信号与接收到的光强成正比。接收信号经放大处理,噪声同时被放大,接入FPGA进行判决处理,这样判决误差大。且需要调整发射模块上的微调电容,使发射和接收频率吻合,实现难度大。

方案2:红外接收模块。红外接收集成芯片灵敏度高,同时内部集成运放、滤波器,将接收到的信号放大,滤波处理,能有效地消除噪声干扰。

根据指标要求,红外接收端选择方案2,即采用红外接收模块实现红外光信号的接收。其要与红外发射管匹配,可以直接和一个做脉冲调制的I/O口连接,简单且效率高。

(2)语音信号的预处理方式

方案1:采用模拟信号直接传输。红外光传输数据不存在无线电传输时天线尺寸的问题,理论上可以将语音信号用低噪声、输入阻抗高的运放进行前置放大,信号调制二极管两端电压,发光二极管的发光强度与模拟电压信号强弱成正相关。接收端接收到变化的光照强度,转换成模拟电压或电流信号。但是,输入的语音信号非常微弱,极易被噪声淹没,语音失真大。

方案2:采用A/D芯片。将语音信号进行一定的前置放大和滤波处理,进行高精度的A/D采样,将模拟量数字化,进而在通信系统中传输,但本方案模拟部分实现较为复杂。

方案3:采用PCM编解码器。作为最典型的语音信号数字化方式,PCM编解码将语音数字化并限制频带,量化信噪比大。常见的PCM编解码器内含有精确基准电压,并带有预采样滤波器和重构滤波器,既可用于同步传输也可用于异步传输的设计中。

经讨论、分析、对比,最终选择方案3,即PCM编解,采用A律压扩。

(3)信道编解码方式

方案1:用DSP数字信号处理芯片实现。DSP运算速度快,通用性强,可以与串行设备如编解码器或串行A/D转换器直接通信,同时还可提供A律和μ律压扩。

方案2:用FPGA实现。可实现信道多路复用,并可同步传输语音数据和温度数据,产生适合红外光信道传输的高速脉冲。其集成有多个成熟的IP核,功能强大,编程简洁,语法易懂,处理速度快,能满足实时传输的要求。若加入串扰码还可以提高信息传送的可靠性。在接收端FPGA实现信道解复用、解码,恢复语音和温度两路数据。

方案3:用单片机实现。将前端输出连接到单片机的外部中断,结合定时器判断外部中断间隔的时间,从而获取数据,将采集到的数字信号进行编码产生PWM波调制信号。成本相对较低,但传输速率低,难以实现语音信号与温度信号的并行同步处理。

考虑到实际情况,结合手边资源,最终采用FPGA实现。

2.系统结构工作原理

结合上述设计方案,本系统采用FPGA器件作为主控单元,将单片机采集的室温信号和PCM编码器采集的语音信号,进行复接和编码,并驱动红外发射装置。以红外光为载体传输信号,传送至接收端。依次经过红外接收器、信道解码、PCM解码器,实现语音与数据的传输。

在如图F-4-2所示的红外发射装置中,温度检测电路采用电阻温度传感器,由单片机处理温度信号,使用PCM编解码器实现语音信息的采样量化模数转换。采用FPGA处理语音和温度数据,产生脉冲序列,驱动红外发光二极管,红外发射管向外发送特定频率的红外光。

图F-4-2 红外发射装置

在如图F-4-3所示的红外转发装置中,红外接收管接收到的信号的波形会有所失真,经非门整形,输出到红外发射管,从而在接收端获得较大信噪比。

图F-4-3 红外转发装置

在如图F-4-4所示的红外接收装置中,红外接收模块将接收到的信号处理后传输给FPGA。PCM编解码器解码得到其中的语音信号。同时单片机获得其中的温度数据并显示。

图F-4-4 红外接收装置

3.功能指标实现方法

(1)红外发送距离和接收功率

信号传输距离与发射管发光强度,方向角度以及接收管的灵敏度有关。光照强度与距离之间的关系为E=I/(R×R),其中I为照度,R为发射端与接收端间的距离,光照强度E决定发射管的发射功率Pt

其中λ为红外光波长,Aet为发射口径有效面积,发射管的半功率角度越小聚光能力越强,Aet越大。在发射功率一定的条件下,要保证2 m的通信距离,需要将接收管对准发射管最大辐射方向,从而增大发射和接收的有效面积。

(2)PCM A律量化编码

语音信号数字化若采用均匀量化方式,则量化信噪比会随信号电平的减小而下降。若采用非均匀量化编码,在出现频率高的低幅度话音信号处运用小的量化间隔,在不经常出现的高幅度语音信号处运用大的量化间隔。这样可以扩大输入信号的动态范围,提高小信号的量化信噪比,进而改善量化性能。

对于音频信号(0.3~3.4 kHz),用8 kHz的抽样频率采样,即对信号每秒钟取样8 000次;每次取样为8个bit位,总共64 kbps。采用A律13折线法从非均匀量化的基点出发,将折线段划分成128个不均匀的量化级,编码时只需要7个bit位,加上一位极性码,故共有8位二进制码,语音信号数字编码速率为64 kbit/s。若采用均匀量化则需要11位,所以使用PCM A律量化编码既提高了量化信噪比,又减小了带宽。我们选取MC145480实现了PCM编码和解码,其内部自带滤波电路,用其进行语音编码通信,通信质量良好且抗干扰能力强。

(3)脉冲频率和宽度

语音频率在300~3 400 Hz,根据奈奎斯特定理,fs≥2fa,即约8 kbit/s时可无失真恢复出语音信号。

信道编码采用1/4的脉宽调制方式极大地降低了系统的功耗,编码采用脉宽为1/4比特位的脉冲调制,占空比为1/4,整个通信过程中,若“1”,“0”等概率出现,高电平时间占总时间的1/8。若使用普通的全占空串行通信方式,在同等条件下,高电平占用时间为1/2。同等通信速率下,前一种信道编码方式功率消耗为后一种的1/4。

(4)传输速率分析计算

采用8 kHz对语音信号采样,经PCM编码数据速率为64 kbit/s,现在需要将温度数据与语音信号同时传输,仅采用64 kbit/s显然不够,现通过FPGA实现数据复用,帧格式数据如图F-4-5所示。

图F-4-5 传输数据格式

每帧数据由1个字节的帧头,1个字节的温度数据和8个字节的语音数据组成,共10个字节。帧头用来实现数据同步,同时采用FPGA可实现同时传输,传输效率较高。综上所述:

实际传输速率=(64 kbit/s×10)/8 =80 kbit/s

80 kbit/s速率数据经8B10B编码,线路速率变为100 kbit/s。采用8B10B编码,FPGA解码后以字节为单位获取数据,帧同步实现简单。

(5)提高中继节点转发效率的方法

红外收发装置采用高速的红外发射管TSFF6410和红外接收模块TFDU4100,满足语音信号传输速率,接收灵敏度高,抗干扰能力强。

采用红外接收模块TFDU4100、74LS04和红外发射管TSFF6410三个元件构成中转电路,采用器件少,功耗低,保障了输出的功率,提高了转发效率。

由于设置中转节点会影响接收脉冲宽度和位置的抖动,因此在FPGA程序设计中增加了去除脉宽和相位抖动的功能,从而增加了信号的容错能力,降低了对中转站的要求。

二、核心部件电路设计

1.红外发射模块

本系统是以红外光为载体实现通信,红外发光二极管的选择十分重要。在一定条件下,发射功率越大,接收到的辐射功率越大。但是,若发射功率过大,发射管温度高,功耗会增大。考虑到本设计对语音信号传输的要求,最终选择威士公司生产的高速红外发射管TSFF6410。相比较于其他发射管,其辐射强度高,为70 mV/sr,方向角小,为22°,功耗低,仅为180 mW,可以满足要求的传输距离。红外发射模块电路如图F-4-6所示。

2.红外接收模块

根据指标要求,红外接收端采用威士公司生产的红外接收模块TFDU4100实现红外光信号的接收,电路如图F-4-7所示。其与红外发射管TSFF6410匹配,可以直接和一个做脉冲调制的I/O口连接,简单且效率高。

图F-4-6 红外发射模块电路

图F-4-7 红外接收模块电路

3.语音编码器

作为最典型的语音信号数字化方式,PCM编解码将语音数字化并限制频带,量化信噪比大。常见的PCM编解码器内含有精确基准电压,并带有预采样滤波器和重构滤波器,既可用于同步传输也可用于异步传输的设计中,实现简单可靠。芯片选型为 MC14LC5480DW,采用A律压扩。电路如图F-4-8所示。

图F-4-8 PCM编解码电路

三、系统软件设计分析

1.发射端FPGA功能模块框图

在发射端,FPGA 的主要功能是对数字语音信号和温度信号处理并进行信道编码,实现数据的复接和信道的复用,并在数据帧前端增加同步帧头,从而实现信号的同步传输,其具体功能框图如图F-4-9所示。

图F-4-9 发射端FPGA功能模块框图

2.接收端FPGA功能模块框图

在接收端,FPGA主要完成了去除信号毛刺,滤除干扰信号;进行信道译码和解复接,从而还原出原语音信号和温度数据的功能,其具体功能框图如图F-4-10所示。

图F-4-10 接收端FPGA功能模块框图

3.单片机温度控制程序设计

图F-4-11 接收装置中的单片机控制流程

发射装置中,DHT11数字温湿度传感器内含已校准数字信号输出的温度复合传感器。该传感器具有快响应,抗干扰能力强等优点。该传感器与高性能、极低功耗的MSP430单片机相连接。单片机通过单总线数字信号口将温度数据读入RAM中,再通过自定义的串口将数据传输给FPGA。

如图F-4-11所示,接收端的单片机给FPGA输入使能信号,通过FPGA的SPI总线获取16比特的数据流。此外,单片机外置光照强度传感器OPT101,通过单片机模数转换功能将光照强度引起的传感器两端的电压变化直接转换为数据。通过SPI通信方式,在LCD上显示。

四、竞赛工作环境条件

1.设计分析软件环境

Multisim11, protel99SE,Quartus_11.0,TI-TINA

2.仪器设备硬件平台

TEKTRONIX TDS2012B双踪示波器3台;F120型函数发生器1台;GDM-451数字万用表1台;YB1731A 3A直流稳压电源1台;2CHACMILLIVOLTMETER低频毫伏表1台;FLLIK117毫安表1台;音频连接线2根;MP3播放器1个;喇叭1个;温度计1个。

五、作品成效总结分析

1.系统测试性能指标

各项功能的测试数据如表F-4-1所示。

表F-4-1 各项性能测试数据表

2.成效得失对比分析

本系统完成了基本要求和发挥要求,经测试所有指标均满足要求。电路主体部分采用高性能器件,数据经过多次测试,结果可靠。电路采用手工和PCB制板工艺,保证红外光通信链路的可靠性,部分指标远超题目要求。在功耗方面,采用了独特的电路设计,大大降低了电路的功耗,中继节点功耗电流小于10 mA,数字信号传输时延不超过1 s,温度测量误差不超过0.5℃,信号传输最远距离可达到6 m。

3.创新特色总结展望

特色之一:设计中选用了高速的红外收发装置,提高了数据的传输速率。

特色之二:选用PCM编解码芯片,提高了语音信号处理的质量。

特色之三:简单有效的中继转发电路设计,大大降低了电路的功耗,保障了传输的距离。

理论上,本系统可以增加多个中继节点,高质量地传输语音数字信号。此外,驱动电路若采用COMS系列HC04比TTL系列功耗更小,转接点处的直流电流可以进一步减小。

六、参考资料

徐志军,等.EDA技术与VHDL设计.北京:电子工业出版社,2009

曹磊.MSP430单片机C程序设计与实践.北京:北京航空航天大学出版社,2007

黄智伟.全国大学生电子设计竞赛系统设计.北京:电子工业出版社,2005

樊昌信.通信原理.北京:国防工业出版社,2007

沈越泓.通信原理.北京:机械工业出版社,2008

报 告 5

基本信息

一、系统总体方案设计

图F-5-1 系统框架图

二、核心部件电路设计

1.红外收发模块设计

(1)红外发射模块设计

红外发射管采用三极管8050做发射管的驱动,8050具有较好的开关特性,能有效地完成信号的发射驱动。电路如图F-5-2所示。

图F-5-2 红外发射模块  图F-5-3 红外接收模块

(2)红外接收模块设计

红外接收管采用8050做接收管驱动,在保证信号接收性能的前提下,完成对信号传输要求的电流提供,从而达到信号发送与接收对接的目的。接收电路如图F-5-3所示。

2.红外通信方案选择与设计

方案1:数字通信方案。将信号经过A/D转换为数字信号进行数字调制,利用红外发射管发射,由于红外接收管响应速率不够,接收到的数字高频信号很小,故舍弃本方案。

方案2:模拟通信方案。将信号经过模拟调制后由红外发射管发射,因红外接收管响应频率低,匹配本系统载波信号频率,所以接收到的信号幅度大,传输距离远。故选择本方案。

3.信号调制解调模块设计

(1)信号调制模块设计

音频电压信号直接送到锁相环CD4046中压控振荡器(VCO)的输入端。放大器的作用是调节压控振荡器的输入信号电压,从而改变压控振荡器的输出频率。CD4046的5脚禁门接低电平,使压控振荡器正常工作,如图F-5-4所示。此模拟信号可进行远距离传输。

(2)信号解调模块设计

当串行通信电路的接收端收到调频模拟信号后,必须进行解调才能恢复原来的信号。调频信号解调的方法是用一个锁相环PLL始终对输入信号的频率锁定或跟踪。其电路图如图F-5-5所示。 接收到的信号直接送入锁相环CD4046的信号输入端。调节压控振荡器的R1,使压控振荡器的中心频率等于发射信号的中心频率。

图F-5-4 调制电路

图F-5-5 解调电路

4.信号中继模块方案选择与设计

方案1:轨至轨运放驱动方案:将接收到的信号送至轨至轨放大器放大,然后把放大后的信号通过红外发射管发射,完成中继功能。由于运放本身有功耗,会增大中继的电流,不利于达成性能指标,故舍弃本方案。

方案2:开关三极管控制方案:将接收到的信号直接送至场效应管,通过开关场效应管控制红外发射管的发射,本方案功率损失较小,电流能做到尽可能小,同时也能完成距离指标,故选择本方案。

三、系统软件设计分析

温度测量模块采用温度传感器将温度转化为电信号,添加一个红外信道做温度信号传递通道,发射端和接收端接1602显示管显示实时接收的温度信号,温度信号的传输采用数字编码的方式,通过编程来完成信号的采集与传输控制。红外信道选择使用OOK,采集到的温度通过模拟开关CD4066来控制红外信号的发射与否,从而发射0,1信号,正常情况下发射1信号,使CD4066开,当要发射温度时,先加标志信号,再发射温度信号,后级接收电路外加一个5 K 的滤波器来从载波中滤出0,1信号,单片机进行判决得到温度信号。

四、作品成效总结分析

1.通信原理分析

红外通信是利用950 nm近红外波段的红外线作为传递信息的媒体,即通信信道。发送端将基带信号调制为一系列方波信号,通过红外发射管发射红外信号。接收端将接收到的光脉冲转换成电信号,再经过放大、滤波等处理后送给解调电路进行解调,还原为基带信号后输出。

通过模拟开关来控制温度信号的发射,利用OOK调制来发射温度信号。接收电路只需滤波后将信号送至单片机进行判决显示。由于温度信号传输速率很快,所以对语音信号基本无影响。

2.发射电路分析

发射电路由三极管8050驱动,考虑到信号传输距离和信号强度的问题,同时涉及驱动电压和电流的大小,综合上述因素,本发射电路采用将红外发射管并联的方案,在保证安全电压条件下,扩大电路的承载电流,同时达到驱动多个发射管的目的。三极管的集电极加限流电阻以防发射管烧毁,基极加限流电阻防止电路因功率过大而过热。经测试,此电路完全能驱动我们的并联发射管,同时满足我们的发射功率要求。

3.接收电路分析

接收管由三极管8050驱动,综合考虑信号的接收效率以及电路的功耗特性,接收电路采用单个接收管进行信号的接收,集电极加限流电阻以防过流烧毁电路,值得注意的是限流电阻的取值直接影响了电路电流大小,要综合接收信号大小和电流大小来选取阻值。信号经三极管接收后直接送至下一级电路进行处理。经测试,此电路能在要求距离上接收到信号,频率相对稳定,虽然信号会有衰减,但是后级可以接运放解决。

4.信号调制电路分析

采用锁相环的调频器CD4046保证了振荡器中心频率的稳定性,同时保证了较宽的调频范围(0~1 MHz)。待调制信号从9端加入,调频波中心锁定在振荡器频率上,在3和4的连接端得到调频信号。VCO的频率可用100 kΩ的电位器进行调节。CD4046的理想状态最高工作频率可达1.2 MHz。经测试,内部振荡器正常可控,调制后的信号完整无明显失真。

5.信号解调电路分析

用锁相环可以实现调频信号的解调。若压控振荡器的电压频率变换特性是线性的,则加到压控振荡器的电压,即环路滤波器输出电压的变化规律必定与调制信号的规律相同。故从环路滤波器的输出端可得到解调信号。为了实现不失真的解调,要求锁相环路的捕捉带必须大于调频波的最大频偏,环路带宽必须大于调频波中输入调制信号的频谱宽度。

6.中继电路分析

接收发射电路中的红外信号通过场效应管直接发射。接收和发射电路原理与最前端发射和终端接收电路原理相同,只是中继的接收电路通过场效应管有效地减小了电路电流。

7.提高转发器效率的方法

用开关场效应管直接控制信号的发送与接收,保证在发射信号较强的基础上,降低了系统的功耗,提高了转发器的效率。

8.测试数据(输入为200 mV,800 Hz语音信号)

表F-5-1 测试数据

9.测试结果分析

(1)通过对信号传输中间点的遮挡来检验是否为光通信,因为红外线的传输基本成直线传输,如果能在直线传输的中间点直接通过遮挡来阻断信号,就能说明是红外光通信。

(2)测试传输距离时,因为光通信的传输基本呈直线,所以要保证有效传输必须先保证发射和接收端的点对点,在完成点对点测试时,本系统有效传输距离最大能到4 m;在2 m的传输距离上,信号衰减只有20%左右,能够保证较大的接收信号强度。

(3)在测试系统噪声时,必须考虑到电源本身的噪声以及外界噪声对系统的干扰,所以在初步降噪的基础上,我们的噪声测试能保持在20 mV以下,达到设计预期指标。

五、参考资料

于洪珍.通信电子线路.北京:清华大学出版社,2012

樊昌信.曹丽娜.通信原理.北京:国防工业出版社,2011

陈光梦.高频电路基础.上海:复旦大学出版社,2011

孙景琪.通信广播电路原理与应用.北京:北京工业大学出版社,2003

张顺兴.数字电路与系统设计.南京:东南大学出版社,2004

曾令琴.电路分析基础.北京:人民邮电出版社,2008

沈任元.模拟电子技术基础.北京:机械工业出版社,2009

沈元隆.电路分析.北京:人民邮电出版社,2004

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈