首页 百科知识 硬件描述语言有哪几种

硬件描述语言有哪几种

时间:2022-10-10 百科知识 版权反馈
【摘要】:硬件描述语言是各种描述方法中最能体现EDA优越性的描述方法。它是目前应用最广泛的硬件描述语言之一。VHDL的基本结构包含有一个实体和一个结构体,而完整的VHDL结构还包括配置,程序包与库,各种硬件描述语言中,VHDL的抽象描述能力最强,因此运用VHDL进行复杂电路设计时,往往采用自顶向下结构化的设计方法。VHDL是一个标准语言,为众多的EDA厂商支持,因此移植性好。

1.2.1 硬件描述语言

硬件描述语言(HDL)是各种描述方法中最能体现EDA优越性的描述方法。所谓硬件描述语言,实际就是一个描述工具,其描述的对象就是待设计系统的逻辑功能,实现该功能的算法,选用的电路结构以及其他各种约束条件等,通常要求HDL既能描述系统的行为,又能描述系统的结构。

HDL的使用与普通的高级语言相似,编制的HDL程序也需要首先经过编译器进行语法,语义的检查,并转换为某种中间数据格式,但与其他高级语言相区别的是,用硬件描述语言编制程序的最终目的是要生成实际的硬件,因此HDL中有与硬件实际情况相对应的并行处理语句。此外,用HDL编制程序时,还需注意硬件资源的消耗问题(如门,触发器,连线等的数目),有的HDL程序虽然语法,语义上完全正确,但并不能生成与之相对应的实际硬件,其原因就是要实现这些程序所描述的逻辑功能,消耗的硬件资源将十分巨大。目前主要有以下两种HDL语言:

(1)Verilog-HDL

Verilog-HDL语言是在1983年由GDA(Gateway Design Automation)公司首创的,主要用于数字系统的设计。设计者可以用它来进行各种级别的逻辑设计,可以用它进行数字逻辑系统的仿真验证,时序分析,逻辑综合等。它是目前应用最广泛的硬件描述语言之一。其最大优点是与工艺无关性,这使得工程师在功能设计,逻辑验证阶段可以不必过多考虑门级电路及其工艺实现的具体细节,只需要利用系统设计时对芯片的要求,施加不同的约束条件,即可设计出实际电路。实际上,这是利用EDA工具,把逻辑验证与具体工具库匹配,把布线及延时计算由计算机自动完成,从而减轻了设计者的劳动。

Verilog-HDL把数字系统当作一组模块来描述,每一个模块具有模块接口以及关于模块内容的描述,一个模块代表一个逻辑单元,这些模块网络相互连接,相互通信。由于Verilog-HDL是标准化的,所以能把完成的设计移植到不同厂家的不同芯片中去。又由于Verilog-HDL设计的信号位数很容易改变,所以可以通过对信号位数的修改,来适应不同的硬件规模,而且在仿真验证时,仿真测试用例可以用同一种描述语言来完成。

(2)VHDL

VHDL涵盖面广,抽象描述能力强,支持硬件的设计,验证,综合与测试。VHDL能在多个级别上对同一逻辑功能进行描述,如可以在寄存器级别上对电路的组成结构进行描述,也可以在行为描述级别上对电路的功能与性能进行描述。无论哪种级别的描述,都可以利用综合工具将描述转化为具体的硬件结构。VHDL的基本结构包含有一个实体和一个结构体,而完整的VHDL结构还包括配置,程序包与库,各种硬件描述语言中,VHDL的抽象描述能力最强,因此运用VHDL进行复杂电路设计时,往往采用自顶向下结构化的设计方法。VHDL还具有以下优点:

①VHDL的宽范围描述能力使它成为高层次设计的核心,将设计人员的工作重心提高到了系统功能的实现与调试,只需花较少的精力用于物理实现。

②VHDL可以用简洁明确的代码描述来进行复杂控制逻辑的设计,灵活且方便,而且也便于设计结果的交流、保存和重用。

③VHDL的设计不依赖于特定的器件,方便了工艺的转换。VHDL是一个标准语言,为众多的EDA厂商支持,因此移植性好。

比较而言,VHDL语言是一种高级描述语言,适用于电路高级建模,综合的效率和效果较好。Verilog-HDL语言是一种低级的描述语言,适用于描述门级电路,容易控制电路资源,但其对系统的描述能力不如VHDL语言。

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈