首页 百科知识 触发器的设计

触发器的设计

时间:2022-10-10 百科知识 版权反馈
【摘要】:用VHDL语言设计实现一个带同步置位和同步复位功能的D触发器,仿真验证其功能,并下载到实验板测试。要求用按键和拨码开关设定输入信号,发光二极管显示输出信号。

实验7 触发器的设计

【实验目的】

(1)了解时序逻辑电路的设计方法;

(2)掌握触发器的逻辑功能及使用方法;

(3)熟悉用QuartusⅡ图形输入法进行电路设计。

【实验所用仪器及元器件】

(1)计算机;

(2)直流稳压电源;

(3)数字系统与逻辑设计实验开发板。

【实验内容】

(1)用VHDL语言设计实现一个带同步置位和同步复位功能的D触发器,仿真验证其功能,并下载到实验板测试。要求用按键和拨码开关设定输入信号,发光二极管显示输出信号。

(2)用VHDL语言设计实现一个带异步置位和异步复位功能的JK触发器,仿真验证其功能,并下载到实验板测试。要求用按键和拨码开关设定输入信号,发光二极管显示输出信号。

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈