首页 百科知识 宏模块概述

宏模块概述

时间:2022-10-26 百科知识 版权反馈
【摘要】:宏模块LPM即参数可设置模块库,可以用图形或硬件描述语言模块形式方便地调用。宏模块使基于EDA技术的电子设计的效率和可靠性有了很大的提高。设计者可以根据实际电路的设计需要,选择LPM库中的适当模块,并为其设定适当的参数,就能满足设计需要。作为电子设计交换格式标准的一部分,LPM得到了EDA工具的良好支持。Quartus II提供的LPM中有多种可供使用的宏模块,如LPM-ROM、LPM-FF、LPM-MUX等,它们都可以在Quartus II的megafunctions库中看到。

11.1 宏模块概述

宏模块LPM(library of parameterized modules)即参数可设置模块库,可以用图形或硬件描述语言模块形式方便地调用。宏模块使基于EDA技术的电子设计的效率和可靠性有了很大的提高。设计者可以根据实际电路的设计需要,选择LPM库中的适当模块,并为其设定适当的参数,就能满足设计需要。

作为电子设计交换格式(electronic design interchange format,EDIF)标准的一部分,LPM得到了EDA工具的良好支持。Quartus II提供的LPM中有多种可供使用的宏模块,如LPM-ROM、LPM-FF、LPM-MUX等,它们都可以在Quartus II的megafunctions库中看到。每一个模块的功能、参数含义、使用方法、硬件描述语言、模块参数设置及调用方法都可以在Quartus II中的Help中查阅到。

利用现场可编程门阵列(field programmable gate array,FPGA)中的EAB/ESB等嵌入式模块构成的LPM宏模块有许多重要的应用,有的应用甚至是不可替代的。例如:LPM-ROM可以用作CPU内置的程序和微指令存储器、数字显示器(digital display scope,DDS)的波形存储器、查表运算的数据存储器等;LPM-FIFO可用作高速A/D采样寄存器、LED屏幕显示缓冲寄存器、CPU中的流水线指令寄存器等;LPM双口RAM可用作高速数据缓存、VGA显示缓存等。

Altera公司提供的宏模块与LPM函数主要有以下几种。

(1)算术组件:包括累加器、加法器、乘法器和LPM算术函数。

(2)门电路:包括多路复用器和LPM门函数。

(3)I/O组件:包括时钟数据恢复(CDR)、锁相环(PLL)、双数据速率(DDR)、千兆位收发器模块(GXB)、LVDS接收器和发送器、PLL重新配置和远程更新宏功能模块。

(4)存储器编译器:包括FIFO Partitioner、RAM和ROM宏模块。

(5)存储组件:包括存储器、移位寄存器宏模块和LPM存储器函数。

调用宏模块的途径有多种,可以在Block Editor中直接调用,可以在VHDL代码中通过端口和参数定义调用,也可以使用MegaWizard Plug-In Manager对宏模块和LPM函数进行调用。

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈