首页 百科知识 触发器程序实例,T触发器

触发器程序实例,T触发器

时间:2022-10-15 百科知识 版权反馈
【摘要】:T触发器又称为翻转型触发器,其电路符号图如图8.7所示。T触发器的特点很明显:T=0时,触发器由CLK脉冲触发后,状态保持不变;T=1时,每来一个CLK脉冲,触发器状态就翻转一次。其真值表如表8.2所示。

T触发器

T触发器又称为翻转型触发器,其电路符号图如图8.7所示。T触发器的特点很明显:T=0时,触发器由CLK脉冲触发后,状态保持不变;T=1时,每来一个CLK脉冲,触发器状态就翻转一次。其真值表如表8.2所示。


表8.2 T触发器真值表

img341

img342


图8.7 T触发器电路符号图

用VHDL描述的T触发器的程序实例如例8-13所示。

【例8-13】T触发器(一)

img343

T触发器还可以直接由一个D触发器和一个非门组成,其电路图如图8.8所示,其真值表如表8.3所示。


表8.3 T触发器真值表

img344

img345


图8.8 由D触发器构成的T触发器

用VHDL描述该T触发器的程序实例如例8-14所示。

【例8-14】T触发器(二)

img346

T触发器程序的仿真波形如图8.9所示。

img347


图8.9 T触发器程序的仿真波形

由T触发器程序的仿真波形图可见,输出信号q的周期是时钟信号clk的2倍,即T触发器可用作2分频电路。

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈