首页 百科知识 VHDL程序的结构

VHDL程序的结构

时间:2022-10-15 百科知识 版权反馈
【摘要】:如前所述,硬件描述语言是高层次、自动化设计的起点和基础。从整体上理解VHDL程序架构,对于迅速掌握VHDL是十分有利的。实体和构造体两部分是组成VHDL设计单元的基础,如图2.2所示。其中实体部分规定设计单元的输入输出接口信号和参数;构造体部分定义设计单元的具体构造或功能(行为)。需要注意的是VHDL中的关键字是不区分大小写的,但为了阅读方便在本书的介绍中关键字以大写表示,而以小写字母来表示设计者自己定义的部分。

2.1 VHDL程序的结构

如前所述,硬件描述语言是高层次、自动化设计的起点和基础。要掌握EDA技术必须从学习一种硬件描述语言开始。从整体上理解VHDL程序架构,对于迅速掌握VHDL是十分有利的。

为了使读者对VHDL程序有全面的了解,我们对VHDL程序进行了解剖,将一个完整的VHDL程序分为实体(Entity)、构造体(Architecture)、配置(Configuration)、程序包(Package)、库(Library)五个组成部分,如图2.1所示。其中实体、构造体和配置是需要设计工程师编写的程序段;而程序包和库则是在编程中要调用的程序段。从设计共享的角度来看,包集合、库以及配置均是设计共享的手段。我们可以将所编写的程序单元用包集合、库或配置的形式存起来,成为共享或复用的部分。

img4


图2.1 VHDL程序组成部分及其功能

若我们把当前正在设计的电路模型称之为设计单元,已有的可调用基本逻辑门和电路模型称之为元件,那么这五个组成部分的主要作用如下:

实体:描述设计单元的外围接口信号和内部参数。

构造体:描述设计单元的内部结构和逻辑行为。

配置:为设计单元从多个构造体中选择合适的构造体或从库中选取合适的元件,以便于进行设计单元的仿真或综合。

程序包:存放各设计模块都能共享的数据类型、常数和子程序等。

库:存放已经编译了的元件和程序包,以便在设计单元中使用。库可由系统工程师自行设计或由ASIC芯片制造商提供。

实体和构造体两部分是组成VHDL设计单元的基础,如图2.2所示。其中实体部分规定设计单元的输入输出接口信号和参数;构造体部分定义设计单元的具体构造或功能(行为)。每一个设计单元小到逻辑门大到数字处理系统都必须具有这两个部分。

img5


图2.2 实体和构造体在设计单元中的作用

需要注意的是VHDL中的关键字(具有特殊含义的系统保留字)是不区分大小写的,但为了阅读方便在本书的介绍中关键字以大写表示,而以小写字母来表示设计者自己定义的部分。

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈