首页 百科知识 组合逻辑电路设计(四)

组合逻辑电路设计(四)

时间:2022-10-10 百科知识 版权反馈
【摘要】:用VHDL语言设计实现一个举重比赛裁判器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。三人裁判举重比赛,一个主裁判、两个副裁判,认为成功时,按自己前面的按键(为1),否则不按(为0);裁判结果用红、绿灯表示,红绿灯都亮(均为1)表示完全成功,只红灯亮表示需研究录像决定,其余表示失败;

实验5 VHDL组合逻辑电路设计(四)

【实验目的】

(1)熟悉用VHDL语言设计组合逻辑电路的方法;

(2)熟悉用QuartusⅡ文本输入法进行电路设计。

【实验所用仪器及元器件】

(1)计算机;

(2)直流稳压电源;

(3)数字系统与逻辑设计实验开发板。

【实验内容】

用VHDL语言设计实现一个举重比赛裁判器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。

(1)三人裁判举重比赛,一个主裁判、两个副裁判,认为成功时,按自己前面的按键(为1),否则不按(为0);裁判结果用红、绿灯表示,红绿灯都亮(均为1)表示完全成功,只红灯亮表示需研究录像决定,其余表示失败;

(2)三个裁判均按下自己的按键,红绿灯全亮;

(3)两个裁判(其中一个是主裁判)按下自己的按键,红绿灯全亮;

(4)两个副裁判或一个主裁判按下自己的按键,只红灯亮;

(5)其他情况红绿灯全灭。

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈