首页 百科知识 显示电路设计

显示电路设计

时间:2022-10-26 百科知识 版权反馈
【摘要】:本节主要介绍几种常用电路的显示电路设计。输出结果主要通过3-8线译码器译码转换为7段显示码的输入,其电路程序设计如下。在任何自顶向下的VHDL设计描述中,设计人员常常将整个设计的系统划分为几个模块,然后采用结构描述方式对整个系统进行描述。二十四进制计数器显示电路的设计过程包含了计数部分和显示部分。七段显示译码电路的功能是将显示的数据转换成点燃LED七段显示数码管的segment信号。

9.1 显示电路设计

常用的显示器件有发光二极管、数码管、液晶显示器等。电子线路输出一般都是用发光二极管的亮与不亮来表示输出的高低电平,这种显示不直观。数码管显示能够更加直观、形象地描述现象。本节主要介绍几种常用电路的显示电路设计。

9.1.1 二输入或门输出显示

二输入或门是数字逻辑电路中最基本的门电路,其逻辑电路图如图9.1.1所示,其真值表如表9.1.1所示。

img437

图9.1.1 二输入或门逻辑电路图

表9.1.1 二输入或门的真值表

img438

二输入或门的程序设计可以有多种方式。输出结果主要通过3-8线译码器译码转换为7段显示码的输入,其电路程序设计如下。

img439

img440

二输入或门的仿真波形如图9.1.2所示。

img441

图9.1.2 二输入或门的仿真波形

9.1.2 三进制计数器的输出显示

前面章节讲到过三进制计数器的设计原理,一般情况下需要两个灯显示结果,在这里用一个数码管显示,让读者更加直观地理解三进制计数器的计数过程。其程序设计如下。

顶层文件的VHDL源程序如下。

img442

img443

在该程序中用COMPONENT命令调用了三进制计数器的设计程序,其程序如下。

img444

img445

三进制计数器的仿真波形如图9.1.3所示。

img446

图9.1.3 三进制计数器的仿真波形

9.1.3 二十四进制计数器的输出显示

二十四进制计数器的显示思路和三进制计数器的显示思路一样,不同之处在于前者需要两个数码管,在设计时必须考虑数码管的选择。在任何自顶向下的VHDL设计描述中,设计人员常常将整个设计的系统划分为几个模块,然后采用结构描述方式对整个系统进行描述。

1.顶层文件的VHDL源程序

二十四进制计数器显示电路的设计过程包含了计数部分和显示部分。另外,要使计数准确,就必须获得稳定的频率,即还要包括稳定的频率源部分。下面给出顶层文件的VHDL源程序。其中3个模块以元器件形式给出,首先,在结构体的说明部分进行元器件说明,然后,在结构体中进行例化调用。同时,在结构体的说明部分定义了中间信号,主要用来在模块之间传递信息。

img447

img448

2.频率源模块的VHDL源程序

为了获得稳定的频率源,这里采用1 000Hz频率作为输入,用一千进制计数器分频得到1Hz频率。其程序如下。

img449

img450

3.计数模块的VHDL源程序

在二十四进制计数器的设计中,把24分为个位和十位设计。计数模块的VHDL源代码如下。

img451

img452

4.显示模块的VHDL源程序

显示模块的输入信号主要来自于计数部分的输出信息。在输出信号中,我们采用循环点亮两个LED七段显示数码管显示输出,通过信号来进行两个LED七段显示数码的选择,从而将输出信号送到相应的LED七段显示数码上完成二十四进制计数器的结果显示。其模块框图如图9.1.4所示。

从图9.1.4中可以看出,显示模块有三个部分构成:八进制计数器、计时位选择电路、七段显示译码电路。

img453

图9.1.4 二十四进制计数器显示电路模块框图

在外部时钟信号clk的作用下,八进制计数器的输出从000到111按顺序循环变化,输出信号为sel;信号sel作为计时位选择电路的选择信号,用来选择对应位的数据并将其转换为四位矢量;最后将计时位选择电路的输出信号q送到七段显示译码电路的输入端口,将其转换成点燃LED七段显示数码管的segment信号。

下面先描述显示模块中的三个子电路,然后描述其总体功能。

八进制计数器的VHDL源代码如下。

img454

计时位选择电路的功能是根据八进制计数器的计数输出的选择信号来选择对应计时显示位的计时数据,作为七段显示译码电路的输入数据。在计时位选择电路中,要将输入数据都转化成4位宽度的数据。其VHDL源代码如下。

img455

img456

七段显示译码电路的功能是将显示的数据转换成点燃LED七段显示数码管的segment信号。其VHDL源代码如下。

img457

img458

在描述二十四进制计数器显示模块时,我们以引用元器件的形式来调用以上描述的子电路。其VHDL源代码如下。

img459

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈