首页 百科知识 8.2.2同步复位和异步复位

8.2.2同步复位和异步复位

时间:2022-10-15 百科知识 版权反馈
【摘要】:根据复位信号对电路系统复位的操作不同可分为同步复位和异步复位两种。用VHDL描述异步复位时与同步方式不同:首先在进程的敏感信号中除时钟信号以外,还应加上复位信号;其次是用IF语句描述复位条件;最后在ELSIF段描述时钟边沿的条件。也就是说,对异步复位而言,复位事件的优先级高于时钟事件的优先级。异步复位描述方式如例8-7所示。

8.2.2 同步复位和异步复位

在时序逻辑电路中,复位信号保证了系统初始状态的确定性。当复位信号有效时,电路系统即恢复到初始状态,或叫复位状态。根据复位信号对电路系统复位的操作不同可分为同步复位和异步复位两种。所谓的“同步”或“异步”是相对于时钟信号而言,是指与时钟信号的“同步”或“异步”。

(1)同步复位

所谓同步复位,就是当复位信号有效且在给定的时钟边沿到来时,电路系统才被复位。实际上,同步复位是当复位信号有效时,通过一个时钟将复位值锁存进电路中以达到刷新电路进入初始状态。在用VHDL描述时,同步复位一定在以时钟为敏感信号的进程中定义,且用IF语句来描述必要的复位条件。例8-5与例8-6就是同步复位方式的描述实例。

【例8-5】进程带敏感信号表的同步复位

img326

【例8-6】进程带WAIT语句的同步复位

img327

img328

例8-5和例8-6中的clk为时钟信号,clr为复位信号。从例中可以看到,同步复位时的初始状态赋值必须在时钟信号有效边沿到来后才能进行。

(2)异步复位

所谓异步复位,就是一旦复位信号有效,不管时钟边沿是否到来,电路系统都将被复位。用VHDL描述异步复位时与同步方式不同:首先在进程的敏感信号中除时钟信号以外,还应加上复位信号;其次是用IF语句描述复位条件;最后在ELSIF段描述时钟边沿的条件。也就是说,对异步复位而言,复位事件的优先级高于时钟事件的优先级。异步复位描述方式如例8-7所示。

【例8-7】异步复位

img329

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈