首页 百科知识 并行信号赋值语句有哪些

并行信号赋值语句有哪些

时间:2022-10-15 百科知识 版权反馈
【摘要】:信号赋值语句有并行信号赋值语句和顺序信号赋值语句之分。条件信号赋值语句是并发语句,该语句在仿真开始时被激活执行一次,随后,只要赋值表达式或条件表达式中的任意信号或变量发生变化,则语句被再次激活执行。注意,条件信号赋值语句和选择信号赋值语句在被激活后,所有的条件都是同时判断的,设计者应保证同时只有一个条件满足或没有条件满足,否则容易导致程序仿真结果和实际电路结果不一致的情况。

并行信号赋值语句

信号赋值语句有并行信号赋值语句和顺序信号赋值语句之分。信号的赋值有三种形式:一般信号赋值、条件信号赋值、选择信号赋值。三种信号赋值语句均可用作并行信号赋值语句,而其中只有一般信号赋值语句才可用作顺序信号赋值语句。

一般信号赋值(Simple Signal Assignment)

一般信号赋值语句的基本格式已经在第四章介绍过。其基本例句如下:

img118

当一般信号赋值语句用于进程内或子程序内时为顺序信号赋值语句;当一般信号赋值语句用于构造体中的进程外或块语句内时为并行信号赋值语句。

条件信号赋值(Conditional Signal Assignment)

条件信号赋值语句基本语法形式为:

img119

条件信号赋值语句是并发语句,该语句在仿真开始时被激活执行一次,随后,只要赋值表达式或条件表达式中的任意信号或变量发生变化,则语句被再次激活执行。如果条件表达式_1为真,则赋值表达式_1就被赋给目标信号,如果条件表达式_2为真,则赋值表达式_2被赋值给目标信号,以此类推。只有当从条件表达式_1到条件表达式_N都是假时,将最后一个赋值表达式的值赋给目标信号。

例如:

img120

选择信号赋值(Selected Signal Assignment)

选择信号赋值语句基本语法形式为:

img121

选择信号赋值语句和条件信号赋值语句一样,也是并发语句,在仿真开始时被激活执行一次,随后,在赋值表达式或条件表达式中的任意信号或变量发生变化时被再次激活执行。当表达式满足条件_1时,则赋值表达式_1就被赋给目标信号,如果表达式满足条件_2,那么赋值表达式_2被赋值给目标信号,以此类推。只有当表达式从条件_1到条件_N都无法满足时,将最后一个赋值表达式的值赋给目标信号。

例如:

img122

注意,条件信号赋值语句和选择信号赋值语句在被激活后,所有的条件都是同时判断的,设计者应保证同时只有一个条件满足或没有条件满足,否则容易导致程序仿真结果和实际电路结果不一致的情况。

例5-1给出了三种信号赋值语句混合运用的例子。

【例5-1】

img123

img124

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈