首页 百科知识 软件开发工具

软件开发工具

时间:2022-10-10 百科知识 版权反馈
【摘要】:1.2.3 软件开发工具目前比较流行的、主流厂家的EDA的软件工具有Altera公司的MAX+plusⅡ、QuartusⅡ,Lattice公司的ispEXPERT,Xilinx公司的Foundation Series、ISE/ISE-WebPACK Series。在适配之后,MAX+plusⅡ生成供时序仿真用的EDIF、VHDL和Verilog这三种不同格式的网表文件。QuartusⅡ:是Altera公司的新近推出的EDA软件工具,其设计工具完全支持VHDL、Verilog的设计流程,其内部嵌有VHDL、Verilog逻辑综合器。

1.2.3 软件开发工具

目前比较流行的、主流厂家的EDA的软件工具有Altera公司的MAX+plusⅡ、QuartusⅡ,Lattice公司的ispEXPERT,Xilinx公司的Foundation Series、ISE/ISE-WebPACK Series。这些软件的基本功能相同,主要差别在于:①面向的目标器件不一样;②性能各有优劣。下面简单介绍MAX+plusⅡ和QuartusⅡ。

(1)MAX+plusⅡ:是Altera公司推出的一个使用非常广泛的EDA软件工具,它支持原理图、VHDL和Verilog语言文本文件以及以波形与EDIF等格式的文件作为设计输入,并支持这些文件的任意混合设计。它具有门级仿真器,可以进行功能仿真和时序仿真,能够产生精确的仿真结果。在适配之后,MAX+plusⅡ生成供时序仿真用的EDIF、VHDL和Verilog这三种不同格式的网表文件。它界面友好,使用便捷,被誉为业界最易学易用的EDA的软件,并支持主流的第三方EDA工具,支持除APEX20K系列之外的所有Altera公司的FPGA/CPLD大规模逻辑器件。

(2)QuartusⅡ:是Altera公司的新近推出的EDA软件工具,其设计工具完全支持VHDL、Verilog的设计流程,其内部嵌有VHDL、Verilog逻辑综合器。第三方的综合工具,如Leonardo Spectrum、Synplify Pro、FPGA CompilerⅡ有着更好的综合效果,因此通常建议使用这些工具来完成VHDL/Verilog源程序的综合。QuartusⅡ可以直接调用这些第三方工具。同样,QuartusⅡ具备仿真功能,但也支持第三方的仿真工具,如Modelsim。此外,QuartusⅡ为Altera DSP开发包进行系统模型设计提供了集成综合环境,它与MATLAB和DSP Builder结合可以进行基于FPGA的DSP系统开发,是DSP硬件系统实现的关键EDA工具。QuartusⅡ还可与SOPC Builder结合,实现SOPC系统开发。

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈