首页 百科知识 7.8交通信号灯监测电路

7.8交通信号灯监测电路

时间:2022-10-15 百科知识 版权反馈
【摘要】:设计一个监测信号灯工作状态的逻辑电路。如图7.13所示,正常情况下,任何时刻点亮的状态只能是红、黄、绿当中的一种,而当出现其他状态时,表明电路发生故障,要求逻辑电路发出故障信号,以提醒维护人员前去修理。而从10 ns到40 ns三个输入信号仅有一个处在高电平,所以输出信号error变为低电平。由此,可体会出电子设计自动化EDA的含义。从而用VHDL程序EDA方法大大加快了电路设计的速度。

7.8 交通信号灯监测电路

设计一个监测信号灯工作状态的逻辑电路。每组信号灯由红、黄、绿三盏灯组成。如图7.13所示,正常情况下,任何时刻点亮的状态只能是红、黄、绿当中的一种,而当出现其他状态时,表明电路发生故障,要求逻辑电路发出故障信号,以提醒维护人员前去修理。

img294

图7.13 交通信号灯正常工作状态

通过对设计要求的分析,可以看出只有红、黄、绿三种颜色的灯中的一种亮时,为交通灯正常,一共有三种情况,除此之外均应发出故障信号。据此,可编写RTL描述程序,例7-22所示。

【例7-22】交通信号灯监测电路的VHDL程序

img295

img296

用上述RTL级描述进行仿真,其波形如图7.14所示。

img297

图7.14 交通信号灯问题电路仿真波形图

图7.14右侧的顶端显示的是时间轴,我们可以看到从0 ns到10 ns由于red、green和yellow信号都为“0”,所以此时输出信号error为高电平“1”。而从10 ns到40 ns三个输入信号仅有一个处在高电平,所以输出信号error变为低电平。

本例典型地说明了采用VHDL进行TOP-DOWN电路设计方法的优越性。

首先,有时(如此例)我们甚至不需要写出电路的完全的真值表即可写出描述该电路的VHDL程序。

其次,我们所编写的RTL级描述是可综合的,通过综合可以得到可实现的电路图,接下去我们可以通过物理实现,最终将设计下载到FPGA进行实物验证。由此,可体会出电子设计自动化EDA的含义。

最后,从本例可以看出VHDL程序的EDA设计方法,省去了写出逻辑函数和逻辑函数化简这两个通常十分繁杂的步骤。从而用VHDL程序EDA方法大大加快了电路设计的速度。

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈