首页 百科知识 结构体()

结构体()

时间:2022-10-10 百科知识 版权反馈
【摘要】:实体只描述了电路的外部接口特征,结构体用于描述一个设计的具体行为功能。一个实体可以有多个结构体,每个结构体对应着实体不同的实现方案,例如一种结构体可能为行为描述,而另一种结构体可能为设计的结构描述。在没有指定使用哪个结构体时,综合器按照其缺省规则选择一个结构体进行电路综合。结构体对其基本设计单元的输入输出关系可以用三种方式进行描述,即行为描述、寄存器传输描述和结构描述。

2.4.2 结构体(Architecture)

实体(Entity)只描述了电路的外部接口特征,结构体(Architecture)用于描述一个设计的具体行为功能。一个实体可以有多个结构体,每个结构体对应着实体不同的实现方案,例如一种结构体可能为行为描述,而另一种结构体可能为设计的结构描述。在没有指定使用哪个结构体时,综合器按照其缺省规则选择一个结构体进行电路综合。

结构体对其基本设计单元的输入输出关系可以用三种方式进行描述,即行为描述、寄存器传输描述和结构描述。不同的描述方式,只是体现在描述语句的不同上,而结构体的结构是完全一样的。

结构体分为两部分:结构说明部分和结构语句部分,其具体的描述格式为:

img11

1.说明语句

说明语句用于对结构体内部使用的信号、常数、数据类型和函数进行定义。例如:

img12

信号定义和端口说明一样,应有信号名和数据类型的说明。因它是内部连接用的信号,故不需有方向的说明。

全加器的完整描述示例如下:

img13

img14

上述程序所对应的电路原理图如图2-3所示。

img15

图2-3 全加器电路图

2.描述语句

结构体(Achitecture)包含两类描述语句:

(1)并行语句(Concurrent):并行语句总是处于进程语句(process)的外部。所有并行语句都是并发执行的并且与它们出现的先后次序无关。

(2)顺序语句(Sequential):顺序语句总是处于进程语句(process)的内部,并且从仿真的角度来看是顺序执行的。

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈