首页 百科知识 语言的运算操作符

语言的运算操作符

时间:2022-10-10 百科知识 版权反馈
【摘要】:如同别的程序设计语言一样,VHDL中的表达式是由运算符将基本元素连接起来的式子。VHDL的运算符可分为算数运算符、关系运算符、逻辑运算符和其他运算符4组。通常,在一个表达式中有两个以上的运算符时,需要使用括号将这些操作分组。取绝对值运算用于任何数值类型。

2.5.3 VHDL语言的运算操作符

如同别的程序设计语言一样,VHDL中的表达式是由运算符将基本元素连接起来的式子。VHDL的运算符可分为算数运算符、关系运算符、逻辑运算符和其他运算符4组。

算数运算符、关系运算符、逻辑运算符和其他运算符以及它们的优先级别如表2.1所示。

通常,在一个表达式中有两个以上的运算符时,需要使用括号将这些操作分组。如果一串操作的运算符相同,且是AND、OR、XOR这三个运算符中的一种,则不需要使用括号,如果一串操作中的运算符不同或有除这三种运算符之外的运算符,则必须使用括号。如:

aAND b AND c AND d

(aOR b)NAND c

关系运算符=、/=、<、<=和>=的两边类型必须相同,因为只有相同的数据类型才能比较,其比较的结果为Boolean型。

正(+)负(-)号和加减号的意义与一般算术运算相同。连接运算符用于一维数组,“&”符号两边的内容连接之后形成一个新的数组,也可以在数组后面连接一个新的元素,或将两个单元素连接形成数组。连接操作常用于字符串。

乘除运算符用于整形、浮点数与物理类型。取模、取余只能用于整数类型。

取绝对值运算用于任何数值类型。乘方运算的左边可以是整数或浮点数,但右边必须为整数,且只有在左边为浮点时,其右边才可以为负数。

表2.1 VHDL的运算符及优先级别

img35

*其中′<=′操作符也用于表示信号赋值操作

免责声明:以上内容源自网络,版权归原作者所有,如有侵犯您的原创版权请告知,我们将尽快删除相关内容。

我要反馈